Principal Engineer, Physical Design

Marvell

Job Summary

Marvell is seeking a Principal Engineer for their Physical Design team in Bangalore, India. This role involves working on the physical design and methodology for next-generation, high-performance processor chips. The Principal Engineer will lead the development of advanced physical design methodologies, provide technical leadership in RTL-to-GDSII implementation, and serve as a key technical advisor across multiple projects, collaborating with global cross-functional teams.

Must Have

  • Deep understanding of RTL to GDSII flows, including synthesis, floor planning, place and route, clock tree synthesis, and timing closure.
  • Strong expertise in static timing analysis (e.g., PrimeTime, Tempus) and power/signal integrity tools (e.g., Voltus, RedHawk).
  • Proficient in scripting languages such as Python, Perl, Tcl, and Makefile for automation and flow development.
  • Demonstrated experience in developing and deploying physical design methodologies and flows.
  • Strong communication and collaboration skills, with the ability to mentor junior engineers and influence cross-functional teams.

Good to Have

  • Experience working with EDA vendors and evaluating new tools and technologies.

Perks & Benefits

  • Competitive compensation
  • Great benefits
  • Workstyle within an environment of shared collaboration, transparency, and inclusivity
  • Tools and resources needed to succeed
  • Opportunity to grow and develop

Job Description

About Marvell

Marvell’s semiconductor solutions are the essential building blocks of the data infrastructure that connects our world. Across enterprise, cloud and AI, and carrier architectures, our innovative technology is enabling new possibilities. At Marvell, you can affect the arc of individual lives, lift the trajectory of entire industries, and fuel the transformative potential of tomorrow. For those looking to make their mark on purposeful and enduring innovation, above and beyond fleeting trends, Marvell is a place to thrive, learn, and lead.

Your Team, Your Impact

Built on decades of expertise and execution, Marvell’s custom Processor/ASIC solution offers a differentiated approach with a best-in-class portfolio of data infrastructure intellectual property (IP) and a wide array of flexible business models. In this unique role, you’ll have the opportunity to work on both the physical design and methodology for future designs of our next-generation, high-performance processor chips in a leading-edge CMOS process technology, targeted at server, 5G/6G, and networking applications.

What You Can Expect

  • This role is based in Bangalore – India. You will work with both local and global team members on the physical design of complex chips and lead the development of advanced methodologies that enable scalable, high-performance implementation.
  • As a Principal Engineer, you will operate at the intersection of technical depth and strategic influence, driving innovation across teams and projects.
  • As a Principal Engineer in the Physical Design team, you will:
  • Architect and lead the development of next-generation physical design methodologies and automation flows.
  • Provide deep technical leadership in RTL-to-GDSII implementation, including synthesis, floor planning, place and route, clock tree synthesis, and timing closure.
  • Serve as a key technical advisor across multiple projects, influencing design decisions and resolving complex implementation challenges.
  • Collaborate with global cross-functional teams, including RTL, verification, and CAD, to ensure cohesive and optimized design execution.
  • Mentor and coach senior and junior engineers, fostering technical growth and promoting best practices across the organization.
  • Evaluate and drive adoption of emerging EDA tools and technologies in partnership with internal CAD and external vendors.
  • Represent the physical design team in strategic technical discussions with internal and external stakeholders, contributing to roadmap planning and methodology evolution.

What We're Looking For

  • Bachelor’s, Master’s, or PhD degree in Electrical Engineering, Computer Engineering, or a related field.
  • 12+ years of progressive experience in back-end physical design and verification, including leadership roles.
  • Deep understanding of RTL to GDSII flows, including synthesis, place and route, clock tree synthesis, and timing closure.
  • Strong expertise in static timing analysis (e.g., PrimeTime, Tempus) and power/signal integrity tools (e.g., Voltus, RedHawk).
  • Proficient in scripting languages such as Python, Perl, Tcl, and Makefile for automation and flow development.
  • Demonstrated experience in developing and deploying physical design methodologies and flows.
  • Strong communication and collaboration skills, with the ability to mentor junior engineers and influence cross-functional teams.
  • Experience working with EDA vendors and evaluating new tools and technologies is a plus.

Additional Compensation and Benefit Elements

With competitive compensation and great benefits, you will enjoy our workstyle within an environment of shared collaboration, transparency, and inclusivity. We’re dedicated to giving our people the tools and resources they need to succeed in doing work that matters, and to grow and develop with us. For additional information on what it’s like to work at Marvell, visit our Careers page.

All qualified applicants will receive consideration for employment without regard to race, color, religion, sex, national origin, sexual orientation, gender identity, disability or protected veteran status.

Interview Integrity

As part of our commitment to fair and authentic hiring practices, we ask that candidates do not use AI tools (e.g., transcription apps, real-time answer generators like ChatGPT, CoPilot, or note-taking bots) during interviews. Our interviews are designed to assess your personal experience, thought process, and communication skills in real-time. If a candidate uses such tools during an interview, they will be disqualified from the hiring process.

This position may require access to technology and/or software subject to U.S. export control laws and regulations, including the Export Administration Regulations (EAR). As such, applicants must be eligible to access export-controlled information as defined under applicable law. Marvell may be required to obtain export licensing approval from the U.S. Department of Commerce and/or the U.S. Department of State. Except for U.S. citizens, lawful permanent residents, or protected individuals as defined by 8 U.S.C. 1324b(a)(3), all applicants may be subject to an export license review process prior to employment.

#LI-MN1

8 Skills Required For This Role

Cross Functional Communication Cad Computer Aided Design Game Texts Networking Back End Python Perl