Principal Design Engineer(Physical Design)

11 Months ago • 7-12 Years • Research & Development

Job Summary

Job Description

Experienced Physical Design Engineer needed for complex IP & SoC tapeouts at 16/10/7/5nm. Must have expertise in Cadence tools like Genus, Innovus, Quantus, Tempus, PVS, and Voltus. Experience in LP Design, DFT, timing analysis, and physical verification is essential.
Must have:
  • Physical Design
  • Cadence Tools
  • LP Design
  • DFT
Good to have:
  • EM/IR-Drop
  • Formal Verification
  • DFM
  • Automation

Job Details

About the job

Position Description:

Exp: 7-12 Yrs

· Perform physical design implementation, including floor planning, power grid design, place and route, clock tree synthesis, timing closure, power/signal integrity signoff, physical verification (DRC/LVS/Antenna), EM/IR signoff, DFM Closure.

· The candidate will have the opportunity to work on many varieties of challenging designs, i.e. low power and high speed design. The responsibility includes participating in or leading next generation PHY IP physical design, methodology and flow development.

· Working closely with RTL design team & Analog Team to ensure successful tapeouts.

· Responsibility includes participating in or leading next-generation physical design, methodology, and flow development in advanced technology nodes

Position Requirements:

· B.Tech/BE/ME/Mtech with hands-on experience in physical design and verification.

· Experienced with ASIC design flow, hierarchical physical design strategies, and methodologies and understanding deep sub-micron technology issues.

· Solid knowledge of LP Design, DFT, static timing analysis, EM/IR-Drop/crosstalk analysis, formal verification, physical verification, and DFM.

· Successful track records of taping out complex IPs & SoCs at 16/10/7/5 nm Power user of Cadence implementation tools, such as Genus, Innovus, Quantus, Tempus, PVS, Voltus.

· Automation and programming-minded, coding experience in Makefile/Tcl/Tk/Perl.

Similar Jobs

Luxoft - Murex Datamart Reporting Consultant

Luxoft

Mumbai, Maharashtra, India (On-Site)
2 Months ago
NVIDIA - Engineering Farm Engineer

NVIDIA

Bengaluru, Karnataka, India (On-Site)
1 Month ago
Info Stretch - Analyst II

Info Stretch

Mechanicsburg, Pennsylvania, United States (On-Site)
1 Month ago
Luxoft - Murex Datamart Reporting Consultant

Luxoft

Bengaluru, Karnataka, India (On-Site)
2 Months ago
NVIDIA - Formal Verification Engineer

NVIDIA

Bengaluru, Karnataka, India (On-Site)
1 Month ago
NVIDIA - ASIC Design Engineer

NVIDIA

Yokne'am Illit, North District, Israel (On-Site)
1 Month ago
Rivos - Physical Design - Intern

Rivos

Santa Clara, California, United States (On-Site)
4 Months ago
NVIDIA - ASIC Engineer - PCIe

NVIDIA

Bengaluru, Karnataka, India (On-Site)
1 Week ago

Get notifed when new similar jobs are uploaded

Similar Skill Jobs

NVIDIA - Senior Mask Design Engineer

NVIDIA

California, United States (Hybrid)
1 Week ago
Fractal - DevOps - Lead

Fractal

Mumbai, Maharashtra, India (On-Site)
3 Months ago
Ubisoft - Vulnerability Platform Specialist

Ubisoft

Montreal, Quebec, Canada (On-Site)
1 Month ago
NVIDIA - Senior Architect - NVIDIA Architecture

NVIDIA

Santa Clara, California, United States (On-Site)
1 Month ago
Microsoft - Data Science: Internship Opportunities - Redmond

Microsoft

Redmond, Washington, United States (On-Site)
1 Month ago
Interactive Brokers - Technical Operations Specialist (TOPS)

Interactive Brokers

Greenwich, Connecticut, United States (Hybrid)
4 Months ago
NVIDIA - Principal Graphics Hardware Architect

NVIDIA

Santa Clara, California, United States (On-Site)
1 Month ago
Axinous - Senior Technical Account Manager

Axinous

Canada (Remote)
2 Weeks ago
EInfochips (An Arrow Company) - Physical Design Engineer

EInfochips (An Arrow Company)

Bengaluru, Karnataka, India (On-Site)
5 Months ago
NVIDIA - Speed Modeling and Prototyping Engineer

NVIDIA

Santa Clara, California, United States (Hybrid)
1 Month ago

Get notifed when new similar jobs are uploaded

Jobs in Pune, Maharashtra, India

The Walt Disney Company - Junior Lighting Technical Director

The Walt Disney Company

Mumbai, Maharashtra, India (On-Site)
5 Days ago
Aristocrat Gaming - Senior Technical Artist I

Aristocrat Gaming

Haryana, India (Hybrid)
2 Months ago
Nagarro - Principal Consultant, PO

Nagarro

India (Remote)
4 Months ago
Unity - Tax Senior Manager

Unity

Bengaluru, Karnataka, India (On-Site)
5 Months ago
GeoServe - Senior Backend Engineer

GeoServe

Bengaluru, Karnataka, India (On-Site)
5 Months ago
DeepSight AI Labs   - Intern/Computer Vision Engineer

DeepSight AI Labs

Gurugram, Haryana, India (On-Site)
8 Months ago
Sportskeeda - Entertainment and US Trends writer - Soap Central

Sportskeeda

India (On-Site)
1 Month ago
PwC - Sr.Manager- Mumbai Shivaji Park - Technology Consulting

PwC

Pune, Maharashtra, India (On-Site)
4 Months ago
Acceldata - Senior SDET - ADOC

Acceldata

Bengaluru, Karnataka, India (On-Site)
5 Months ago
Assystems - Structure PDMS/ E3D Designer - ITER Project

Assystems

Navi Mumbai, Maharashtra, India (On-Site)
3 Months ago

Get notifed when new similar jobs are uploaded

Research & Development Jobs

NVIDIA - Senior Manager, SONiC Software

NVIDIA

Ra'anana, Center District, Israel (On-Site)
1 Month ago
Alstom - Engineering Tools Deployment Manager

Alstom

Bengaluru, Karnataka, India (On-Site)
4 Months ago
Nagarro - SAP FI/CO Senior Consultant

Nagarro

Germany (Remote)
4 Weeks ago
NVIDIA - Software Engineering Manager - GPU Communications Libraries

NVIDIA

Santa Clara, California, United States (On-Site)
1 Week ago
Rivos - Silicon Formal Verification - Intern

Rivos

Santa Clara, California, United States (On-Site)
4 Months ago
Ubisoft - Senior C++ Programmer

Ubisoft

Malmö, Skåne County, Sweden (Hybrid)
2 Weeks ago
Tesla - Senior Mechanical Design Engineer - Motors

Tesla

Athens, Greece (On-Site)
1 Week ago
NVIDIA - System Software Engineer - USB (RDSS Intern)

NVIDIA

Taipei City, Taiwan (On-Site)
1 Month ago
NVIDIA - CPU Architecture Intern - 2025

NVIDIA

Shanghai, Shanghai, China (On-Site)
1 Month ago
Samsung Semiconductor - Intern, Logic Pathfinding Lab Advanced DTCO Engineer

Samsung Semiconductor

San Jose, California, United States (On-Site)
3 Weeks ago

Get notifed when new similar jobs are uploaded

About The Company

Cadence is a pivotal leader in electronic systems design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to deliver software, hardware and IP that turn design concepts into reality. Cadence customers are the world’s most innovative companies, delivering extraordinary electronic products from chips to boards to complete systems for the most dynamic market applications, including hyperscale computing, 5G communications, automotive, mobile, aerospace, consumer, industrial and healthcare. For eight years in a row, Fortune magazine has named Cadence one of the 100 Best Companies to Work For. 

Bengaluru, Karnataka, India (On-Site)

Hyderabad, Telangana, India (On-Site)

Maharashtra, India (On-Site)

View All Jobs

Get notified when new jobs are added by Cadence Design Systems

Level Up Your Career in Game Development!

Transform Your Passion into Profession with Our Comprehensive Courses for Aspiring Game Developers.

Job Common Plug